CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - RS232 verilog

搜索资源列表

  1. RS232_tx

    0下载:
  2. 串口发送程序,verilog实现,可综合。-program for rs232
  3. 所属分类:Console

    • 发布日期:2017-04-07
    • 文件大小:910
    • 提供者:zhaolei
  1. QUARTUS_WORK_FORTH

    0下载:
  2. 基于verilog语言的,FPGA程序实现电脑与FPGA串口的数字传输,硬件设备为EP1C3T100C8,usb转RS232芯片为FT232BM,-Based verilog language, FPGA program FPGA serial digital transmission of computer and hardware devices to EP1C3T100C8, usb to RS232 chip FT232BM,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:598044
    • 提供者:FT_Young
  1. uart_async

    0下载:
  2. RS232串口通信代码,采用verilog HDL实现,在quartus上仿真通过并下载到fpga平台功能验证-RS232 CODE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2419
    • 提供者:李飞
  1. RS_232_2

    0下载:
  2. RS232串口通讯实验,verilog HDL,在quartusII开发环境下-RS232 serial communication experiment, verilog HDL, in quartusII development environment
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:147829
    • 提供者: 金国栋
  1. fpga-nois

    0下载:
  2. 里面包含fpga的4个noic核 verilog(i2c,rs232,can,8051)。测试过不错-Which contains the four noic nuclear fpga verilog (i2c, rs232, can, 8051). Tested good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:9163776
    • 提供者:feixue
  1. cetvrtak13

    0下载:
  2. 8通道示波器,采用DE2-115FPGA综合,带有RS232连接,VGA驱动,IR驱动。用verilog编写。-8-channel oscilloscope, using DE2-115FPGA integrated with RS232 connection, VGA driver, IR driver. Written in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-30
    • 文件大小:467968
    • 提供者:潘继汉
  1. lab-uart

    0下载:
  2. verilog RS232 讀取跟寫入和傳送資料-verilog RS232 with write and read data transfer
  3. 所属分类:Com Port

    • 发布日期:2017-04-05
    • 文件大小:181558
    • 提供者:林孟賢
  1. serial_1

    0下载:
  2. RS232 protocol written in verilog There s four parts : top_level frequency receive data transmit data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2437
    • 提供者:Thomas
  1. async_receiver

    0下载:
  2. 用于RS232串口接收数据的verilog语言,时钟速率可改,可直接调用。- ON划词翻译ON实时翻译 Serial port for receiving data of the Verilog language, can be called directly.
  3. 所属分类:Com Port

    • 发布日期:2017-04-05
    • 文件大小:1325
    • 提供者:yaobaixin
  1. FPGA_51

    0下载:
  2. 51+FPGA架构的通讯口扩展,用verilog语言编写,扩展了I2C,SPI,RS232。-51+ FPGA architectures communication port expansion, with verilog language, extends the I2C, SPI, RS232.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2926670
    • 提供者:wcq
  1. UART_FPGA_VerilogHDL

    0下载:
  2. FPGA RS232串口通信,Verilog HDL代码-FPGA RS232 serial communication, Verilog HDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:259884
    • 提供者:贺炜
  1. verilog_receiver

    0下载:
  2. 标准的verilog rs232 接收功能通讯源码,测试可用,已经在实际系统开发中使用。-Standard verilog rs232 reception communications source, testing is available, have been used in the actual system development.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:907
    • 提供者:111111
  1. 1---Serial-interface-(RS-232)

    0下载:
  2. Verilog HDL编写的RS232通信接口,包含RS232接口通信原理解析和编程实现文档-Verilog HDL prepared by the RS232 communication interface, including RS232 interface communication principles of parsing and programming documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:24736
    • 提供者:Tokeyman
  1. Uart

    0下载:
  2. FPGA verilog UART串口通信,可通过RS232串口与串口助手通信。-FPGA verilog UART communication, it could connect with UART assistor with RS232 port.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:8303
    • 提供者:john
  1. bldc_motor_control_design_example

    6下载:
  2. 无刷直流电机 VHDL VERILOG 控制,速度环,RS232 串口接收发送 始终分频 PWM生成 电机相序 actel FPGA使用-VERILOG BLDC control of the use of actel FPGA- actel VERILOG BLDC control of the use of actel FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:741243
    • 提供者:
  1. uart

    0下载:
  2. RS232接口,uart用verilog语言实现-RS232 interface, uart with verilog language
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:1831171
    • 提供者:huqiao
  1. sheji2

    0下载:
  2. 基于Verilog的数字温度计,用DS18B20采集温度,通过RS232接口与计算机实现串行通信-Verilog-based digital thermometer with DS18B20 collecting temperature, serial communication interface with the computer via RS232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4896692
    • 提供者:方思
  1. IIC

    0下载:
  2. IIC读写发送到PC串口的verilog源程序-IIC send the data to rs232 by pc
  3. 所属分类:Com Port

    • 发布日期:2017-05-16
    • 文件大小:3841416
    • 提供者:boren
  1. FPGA_SDRAM

    0下载:
  2. UART作为RS232协议的控制接口得到了广泛的应用,将UART的功能集成在FPGA芯片中,可使整个系统更为灵活、紧凑,减小整个电路的体积,提高系统的可靠性和稳定性。提出了一种基于FPGA的UART的实现方法,具体描述了发送、接收等模块的设计,恰当使用了有限状态机,实现了FPGA片上UART的设计,给出了仿真结果。-fpga verilog uart sram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:20303362
    • 提供者:jackwu
  1. rs232_auto

    0下载:
  2. verilog实现通过RS232自发自收,波特率为115200,传输格式为1位起始位,8位数据位,1位停止位,无校验位-verilog through RS232 spontaneous self-closing, 115200 baud rate, transmission format is one start bit, 8 data bits, 1 stop bit, no parity bit。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5898741
    • 提供者:陈勇
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com